Trabajos en ModelSim: Comparador


 

 

















ModelSim
es un software que nos sirve para crear, editar, compilar, modificar y simular circuitos digitales descritos por medio de VHDL (VHSIC hardware description language).
 

Los pasos para lograr la comprensión de las funciones que este software nos proporciona comienzan desde la descripción racional de un circuito y los operadores lógicos, en un cuaderno con un lápiz. En clase ejemplificamos las partes fundamentales de un circuito, y describimos de igual forma su funcionamiento, así mismo como el de sus compuertas lógicas, como lo podemos observar en la siguiente figura anexa en la portada.

 

 Comparador de Dígitos