Trabajos en ModelSim: Comparador


 

 

















ModelSim
es un software que nos sirve para crear, editar, compilar, modificar y simular circuitos digitales descritos por medio de VHDL (VHSIC hardware description language).
 

Los pasos para lograr la comprensión de las funciones que este software nos proporciona comienzan desde la descripción racional de un circuito y los operadores lógicos, en un cuaderno con un lápiz. En clase ejemplificamos las partes fundamentales de un circuito, y describimos de igual forma su funcionamiento, así mismo como el de sus compuertas lógicas, como lo podemos observar en la siguiente figura anexa en la portada.

 

 Comparador de Dígitos

 Comparador de 8 bits, en el primer caso son cifras iguales, por lo tanto la salida nos dará un '1'. En el siguiente caso, vemos el comportamiento contrario ya que las cifras son distintas.


library ieee;
use ieee.std_logic_1164.all;

entity comparador is
port (A: in std_logic_vector(7 downto 0);
     B: in std_logic_vector(7 downto 0);
     S: out std_logic);  
 end comparador;

 architecture compara_arch of comparador is
   begin
     S <= '1' when (A=B) else '0';
   end compara_arch;


 

 Este ejercicio, siendo de lo mas básico, nos ayuda a comprender la función y el concepto de una 'entidad' y una 'arquitectura'.  


Nuestra entidad cuenta con dos entradas de 8 bits y una salida de un bit.

Dentro de la arquitectura definimos su comportamiento, si las dos entradas son iguales, nos regresara '1'. Si son distintas, nos regresa '0'.

 

 

 

 

 

 

No hay comentarios.:

Publicar un comentario